screen log control

This commit is contained in:
IniKiwi 2022-08-16 11:03:08 +02:00
parent bb001b64f1
commit 5742e7c257
1 changed files with 1 additions and 1 deletions

View File

@ -14,7 +14,7 @@ void display_set_data_register(display_t* display, uint8_t value, cpu_status_t*
if(display->register_selector == 8){
if(value==4){display->x=0;SDL_RenderPresent(display->renderer);return;}
display->line = value-128;
//if(status->log)
if(status->log)
printf("\e[35mline to %d\e[39m\n", value-128+1);
}
if(display->register_selector == 10){