//--- // // gint drawing module: display // // Handles vram manipulation and drawing. // //--- #ifndef _INTERNALS_DISPLAY_H #define _INTERNALS_DISPLAY_H 1 extern int *vram; #endif // _INTERNALS_DISPLAY_H