//--- // // gint drawing module: display // // Handles vram manipulation and drawing. // //--- #ifndef _DISPLAY_INTERNALS_H #define _DISPLAY_INTERNALS_H extern int *vram; #endif // _DISPLAY_INTERNALS_H